计算机科学 ›› 2021, Vol. 48 ›› Issue (3): 196-200.doi: 10.11896/jsjkx.191200142

• 人工智能 • 上一篇    下一篇

实时低功耗飞行器神经网络

张英1,2,3, 陶磊岩4, 曹健1, 王世会2,3, 赵茜2,3, 张兴1   

  1. 1 北京大学软件与微电子学院 北京100871
    2 北京航天自动控制研究所 北京100854
    3 宇航智能控制技术国家级重点实验室 北京100854
    4 北京遥感设备研究所 北京100854
  • 收稿日期:2019-12-23 修回日期:2020-04-23 出版日期:2021-03-15 发布日期:2021-03-05
  • 通讯作者: 曹健(caojian@ss.pku.edu.cn)
  • 作者简介:zhangying_@pku.edu.cn
  • 基金资助:
    国家自然科学基金(51877008)

Real-time Low Power Consumption Aircraft Neural Network

ZHANG Ying1,2,3, TAO Lei-yan4, CAO Jian1, WANG Shi-hui2,3, ZHAO Qian2,3, ZHANG Xing1   

  1. 1 School of Software and Microelectronics,Peking University,Beijing 100871,China
    2 Beijing Aerospace Automatic Control Institution,Beijing 100854,China
    3 National Key Laboratory of Science and Technology on Aerospace Intelligent Control,Beijing 100854,China
    4 Beijing Institute of Remote Sensing Equipment,Beijing 100854,China
  • Received:2019-12-23 Revised:2020-04-23 Online:2021-03-15 Published:2021-03-05
  • About author:ZHANG Ying,born in 1982,Ph.D,se-nior engineer.Her main research interest is intelligent control.
    CAO Jian,born in 1980,Ph.D,associate professor,is a member of China Computer Federation.His main research interests include edge computing,intelligent hardware and system design.
  • Supported by:
    National Natural Science Foundation of China(51877008).

摘要: 为了满足飞行器实时飞行过程中对大量异构输入数据的信息处理需求,文中提出了一种神经网络,其包括卷积定点滑动核、池化压缩量化核以及全连接压缩融合核,将飞行器异构传感器多路并行数据作为系统的输入,将辨识结果作为系统的输出。卷积滑动窗口核通过排除冗余数据的滑动窗快速实现数据特征的提取;池化压缩量化核使用压缩量化技术来提高系统的执行效率;全连接压缩融合核经删减量化后压缩融合并输出。该设计满足了飞行器对高可靠性、低功耗的在线智能集成需求。使用所提压缩量化方法,准确率最高可达98.54%,压缩率为77.8%,运行速度提升了40倍。

关键词: 低功耗, 飞行器, 神经网络, 实时在线

Abstract: In order to meet the information processing requirements of a large amount of heterogeneous input data in the real-time flight of aircraft,this paper proposes a neural network,including convolution core with fixed-point sliding,pooling core with compression quantization and fully connected core with compression fusion.The input of the system is heterogeneous sensor data,and the output of the system is the identification results.Convolution core can extract data features quickly by eliminating redundant data sliding window.Pooling core improves system execution efficiency by using compression quantization technology.The design meets the on-line intelligent integrationrequirements of high reliability and low power consumption.With the proposed compression quantization method,the peak accuracy is 98.54%,the compression rate is 77.8%,and the running speed increases by 40 times.

Key words: Aircraft, Low power consumption, Neural network, Real-time online

中图分类号: 

  • TP311
[1]LU L Q,LIANG Y,XIAO Q C,et al.Evaluating Fast Algorithms for Convolutional Neural Networks on FPGAs[C]//2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).2017:101-108.
[2]GIRSHICK R,DONAHUE J,DARREKK T,et al.Rich Feature Hierarchies for Accurate Object Detection and Semantic Segmentation[C]//2014 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).Columbus,2014:580-587.
[3]GIRSHICK R.Fast R-CNN[C]//IEEE International Confe-rence on Computer Vision(ICCV).Santiago,Chile:IEEE,2015:1440-1448.
[4]MC CULLOCH W S,PITTS W H.A Logical Calculus of theIdeas Immanent in Nervous Activity[J].Bulletin of Mathematical Biophysics,1943,5(5):115-133.
[5]MINSKY M,PAPERT S.Perceptrons:An Introduction to Computational Geometry[M].USA,Massachusetts:The MIT Press,1987:5-308.
[6]RUMELHART D E,HINTON G E,WILLIAMS R J.Learning Representations by Back-propagating Errors[J].Nature 1998,323(6088):533-536.
[7]KRIZHEVSKY A,SUTSKEVER I,HINTON G E.ImageNet Classification with Deep Convolutional Neural Networks[C]//International Conference on Neural Information Processing System,Kyoto,Japan.VLSI Secretariat Japan and Asia,2012:1097-1105.
[8]SCHERER D,SCHULZ H,BEHNKE S.Accelerating Large-Scale Convolutional Neural Networks with Parallel Graphics Multrocessors[C]//International Conference on Artificial Neural Networks(ICANN).2010(6354):82-91.
[9]ZHANG C,LI P,SUN G Y,et al.Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Networks[C]//Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays.New York,USA:ACM,2015:161-170.
[10]LECUN Y,BOTTOU L,BENGIO Y,et al.Gradient-basedLearning Applied to Document Recognition[J].Proceedings of the IEEE,1998,86(11):2278-2324.
[11]SIMONYAN K,ZISSERMAN A.Very Deep Convolutional Networks for Large-scale Image Recognition[C]//Computer Vision and Pattern Recognition(CVPR).Columbus OH USA,IEEE Computer Society,2014(v1):1409-1556.
[12]REN S Q,HE K M,GIRSHICK R,et al.Faster R-CNN:Towards Real-Time Object Detection with Region Proposal Networks[J].IEEE Transactions on Pattern Analysis and Machine Intelligence,2017(39):1137-1149.
[13]KIM H S,HONG S W,SON H R,et al.High Speed RoadBoundary Detection on the Images for Autonomous Vehicle with the Multi-layer[C]//IEEE International Symposium on Circuits and Systems(ISCAS).Bangkok,Thailand,IEEE 2003:769-772.
[14]YIN S,OUYANG P,TANG S,et al.A 1.06-to-5.09 TOPS/W Reconfigurable Hybrid-Neural-Network Processor for Deep Learning Applications[C]//2017 Symposia on VLSI Technology and Circuits (VLSI).Kyoto,Japan.VLSI Secretariat Japan and Asia,2017:26-27.
[15]HAN S,POOL J,TRAN J,et al.2015.Learning both Weights and Connections for Efficient Neural Networks[C]//Neural Information Processing System.Montreal,Quebec,Canada:MIT Press,2015:1506-1526.
[16]HAN S,KANG J,MAO H,et al.ESE:Efficient Speech Recognition Engine with Sparse LSTM on FPGA[C]//Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays.Oulu,Finland:IEEE/ACM,2017:75-84.
[17]GONG L,WANG C,LI X,et al.Work-in-Progress:A Power-Efiicient and High Performance FPGA Accelerator for Convolutional Neural Networks[C]//Proceedings of the 12th IEEE/ACM/IF International Conference on Hardware/Software Codesign and System Synthesis Companion.Oulu,Finland:IEEE/ACM,2017:1-6.
[18]ZHANG C,PRASANNA V.Frequency Domain Acceleration of Convolutional Neural Networks on CPU-FPGA Shared Memory System[C]//Proceedings of the 2017 ACM/SIGDA Internatio-nal Symposium on Field-Programmable Gate Arrays.Oulu,Finland:IEEE/ACM,2017:35-44.
[19]SANKARADAS M,JAKKULA V,CADAMBI S,et al.A Massively Parallel Coprocessor for Convolutional Neural Networks[C]//2009 20th IEEE International Conference on Application-specific Systems,Architectures and Processors(ASAP).Montreal:IEEE,2009:53-60.
[20]FARABET C,POULET C,HAN J Y,et al.CNP:An Fpga-based Processor for Convolutional Networks[C]//Field Programmable Logic and Applications(FPL).Prague:IEEE,2009:32-37.
[21]CADAMBI S,MAJUMDAR A,BECCHI M,et al.A Programmable Parallel Accelerator for Learning and Classification[C]//Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques(PACT).Vienna,Austria:IEEE,2010:273-284.
[22]FANG R,LIU J H,XUE Z H,et al.FPGA-based design forconvolution neural network[J].Computer Engineering and Applications,2015,51(8):32-36.
[1] 宁晗阳, 马苗, 杨波, 刘士昌.
密码学智能化研究进展与分析
Research Progress and Analysis on Intelligent Cryptology
计算机科学, 2022, 49(9): 288-296. https://doi.org/10.11896/jsjkx.220300053
[2] 周芳泉, 成卫青.
基于全局增强图神经网络的序列推荐
Sequence Recommendation Based on Global Enhanced Graph Neural Network
计算机科学, 2022, 49(9): 55-63. https://doi.org/10.11896/jsjkx.210700085
[3] 周乐员, 张剑华, 袁甜甜, 陈胜勇.
多层注意力机制融合的序列到序列中国连续手语识别和翻译
Sequence-to-Sequence Chinese Continuous Sign Language Recognition and Translation with Multi- layer Attention Mechanism Fusion
计算机科学, 2022, 49(9): 155-161. https://doi.org/10.11896/jsjkx.210800026
[4] 李宗民, 张玉鹏, 刘玉杰, 李华.
基于可变形图卷积的点云表征学习
Deformable Graph Convolutional Networks Based Point Cloud Representation Learning
计算机科学, 2022, 49(8): 273-278. https://doi.org/10.11896/jsjkx.210900023
[5] 郝志荣, 陈龙, 黄嘉成.
面向文本分类的类别区分式通用对抗攻击方法
Class Discriminative Universal Adversarial Attack for Text Classification
计算机科学, 2022, 49(8): 323-329. https://doi.org/10.11896/jsjkx.220200077
[6] 王润安, 邹兆年.
基于物理操作级模型的查询执行时间预测方法
Query Performance Prediction Based on Physical Operation-level Models
计算机科学, 2022, 49(8): 49-55. https://doi.org/10.11896/jsjkx.210700074
[7] 陈泳全, 姜瑛.
基于卷积神经网络的APP用户行为分析方法
Analysis Method of APP User Behavior Based on Convolutional Neural Network
计算机科学, 2022, 49(8): 78-85. https://doi.org/10.11896/jsjkx.210700121
[8] 朱承璋, 黄嘉儿, 肖亚龙, 王晗, 邹北骥.
基于注意力机制的医学影像深度哈希检索算法
Deep Hash Retrieval Algorithm for Medical Images Based on Attention Mechanism
计算机科学, 2022, 49(8): 113-119. https://doi.org/10.11896/jsjkx.210700153
[9] 檀莹莹, 王俊丽, 张超波.
基于图卷积神经网络的文本分类方法研究综述
Review of Text Classification Methods Based on Graph Convolutional Network
计算机科学, 2022, 49(8): 205-216. https://doi.org/10.11896/jsjkx.210800064
[10] 闫佳丹, 贾彩燕.
基于双图神经网络信息融合的文本分类方法
Text Classification Method Based on Information Fusion of Dual-graph Neural Network
计算机科学, 2022, 49(8): 230-236. https://doi.org/10.11896/jsjkx.210600042
[11] 金方焱, 王秀利.
融合RACNN和BiLSTM的金融领域事件隐式因果关系抽取
Implicit Causality Extraction of Financial Events Integrating RACNN and BiLSTM
计算机科学, 2022, 49(7): 179-186. https://doi.org/10.11896/jsjkx.210500190
[12] 彭双, 伍江江, 陈浩, 杜春, 李军.
基于注意力神经网络的对地观测卫星星上自主任务规划方法
Satellite Onboard Observation Task Planning Based on Attention Neural Network
计算机科学, 2022, 49(7): 242-247. https://doi.org/10.11896/jsjkx.210500093
[13] 费星瑞, 谢逸.
基于HMM-NN的用户点击流识别
Click Streams Recognition for Web Users Based on HMM-NN
计算机科学, 2022, 49(7): 340-349. https://doi.org/10.11896/jsjkx.210600127
[14] 赵冬梅, 吴亚星, 张红斌.
基于IPSO-BiLSTM的网络安全态势预测
Network Security Situation Prediction Based on IPSO-BiLSTM
计算机科学, 2022, 49(7): 357-362. https://doi.org/10.11896/jsjkx.210900103
[15] 齐秀秀, 王佳昊, 李文雄, 周帆.
基于概率元学习的矩阵补全预测融合算法
Fusion Algorithm for Matrix Completion Prediction Based on Probabilistic Meta-learning
计算机科学, 2022, 49(7): 18-24. https://doi.org/10.11896/jsjkx.210600126
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!