Computer Science ›› 2015, Vol. 42 ›› Issue (1): 71-74.doi: 10.11896/j.issn.1002-137X.2015.01.016

Previous Articles     Next Articles

Program Phase Analysis and Phase Detection Techniques

ZHANG Hai-bo, AN Hong, HE Song-tao, SUN Tao, WANG Tao, PENG Yi and CHENG Yi-chao   

  • Online:2018-11-14 Published:2018-11-14

Abstract: The rapid development of SMP and new proposed DHMP bring new challenges for program performance optimization.We raised two performance tuning problems and the solutions were given by phase analysis.The first problem is to find theperformance bottlenecks in each phase.We proposed a static phase analysis method,which finds performance bottlenecks in each phases by analyzing architecture features and its similar matrix.The second problem is to give the proper time to reconfigure for DHMP.We proposed dynamic phase detection algorithms,namely DPDA and HTPA.DPDA archives effective performance in a relative low software/hardware cost,and HTPD is the first phase detection algorithm using statistics theory.Our results show that comparing with BBV,DPDA and HTPD can avoid its limitation of offline,plus additional hardware in online algorithm and compiler’s effect,while they offer a comparable stability and correctness.Since DPDA and HTPD do not relay on additional hardware support,they can be implemented directly in mainstream processors and DHMP.

Key words: Program analysis,Program phase,Static program analysis,Phase detection

[1] Intel Corporation.Intel 64 and IA-32 Architectures SoftwareDeveloper’s Manualys.http://www.intel.com/content/www.us/en/processors/architectures-software-developer-manuals.html
[2] Kalla R,Sinharoy B,Starke W J,et al.Power7:IBM’s Next-Generation Server Processor[J].IEEE Micro,2010,30(2):7-15
[3] Ipek E,Kirman M,Kirman N,et al.Core fusion:accommodating software diversity in chip multiprocessors[C]∥Proceedings of the 34th annual international symposium onComputer architecture.Dean Tullsen ed.San Diego,California,USA:ACM,2007:186-197
[4] Kim C,Sethumadhavan S,Govindan M S,et al.ComposableLightweight Processors[C]∥Proceedings of the 40th Annual IEEE/ACM International Symposium on Microarchitecture.IEEE Computer Society,2007:381-394
[5] Watanabe Y,Davis J D,Wood D A.WiDGET:Wisconsin decoupled grid execution tiles[C]∥Proceedings of the 37th Annual International Symposium on Computer Architecture.André SeznecedSaint-Malo,France,ACM,2010:2-13
[6] Sherwood T,Sair S,Calder B.Phase tracking and prediction[C]∥Proceedings of the 30th Annual International Symposium on Computer Architecture.New York,NY,USA,ACM,2003:336-349
[7] Sherwood T,Perelman E,Calder B.Basic block distributionanalysis to find periodic behavior and simulation[C]∥Procee-dings 2001 International Conference on Parallel Architectures and Compilation Techniques.Barcelona,Catalunya,Spain,IEEE Computer Society,2001:3-14
[8] Sherwood T,Perelman E,Hamerly G,et al.Automatically chara-cterizing large scale program behavior[C]∥Proceedings of the 10th International Conference on Architectural Support for Programming Languages and Operating Systems.New York,NY,USA,ACM,2002:45-57
[9] Dhodapkar A S,Smith J E.Comparing Program Phase DetectionTechniques[C]∥Proceedings of the 36th Annual IEEE/ACM International Symposium on Microarchitecture.IEEE Computer Society,Washington DC,USA,2003:217-228
[10] Lau J,Schoenmackers S,Calder B.Transition Phase Classification and Prediction[C]∥Proceedings of the 11th International Symposium on High-Performance Computer Architecture.IEEE Computer Society,Washington DC,USA,2005:278-289

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!