Computer Science ›› 2017, Vol. 44 ›› Issue (8): 42-45.doi: 10.11896/j.issn.1002-137X.2017.08.008

Previous Articles     Next Articles

New Task Scheduling Technique for Multicore Arrays

CHEN Yi-ou, LV Xin-ke and LING Xiang   

  • Online:2018-11-13 Published:2018-11-13

Abstract: As the complexity of the signal processing increases,the multicore parallel architecture has become an effective solution for digital signal processing (DSP) systems.This paper proposeed a new task scheduling technique in wireless multicore systems for DSP systems.Three optimization objectives,such as power consumption,thermal distribution and latency,were chosen to achieve the performance and cost requirements of DSP systems and wireless multicore arrays.The respective models were designed as the objective functions of the multi-objective optimization algorithm.Also,an improved crowded strategy and the initial population selection based on NSGA-II algorithm with new fitness functions were proposed to balance the performance of the three optimization objectives and increase the possibility of exploring better solutions.Finally,experiments were taken under several task graphs in wireless multicore systems.Simulation results prove that the proposed algorithm is effective and can achieve better performance than the traditional ones.

Key words: Multicore array,Thermal distribution,Task scheduling,Multi-objective optimization

[1] WOLF W,JERRAYA A A,MARTIN G.Multiprocessor system-on-chip (MPSoC) technology[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2008,7(10):1701-1713.
[2] DOU R,HAN J,BO Y,et al.An Efficient Implementation ofMontgomery Multiplication on Multicore Platform With Optimized Algorithm,Task Partitioning,and Network Architecture[J].IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2014,2(11):2245-2255.
[3] LIU J,ZHUGE Q F,GU S Z,et al.Minimizing system cost with efficient task assignment on heterogeneous multicore processors considering time constraint[J].IEEE Transactions on Parallel and Distributed Systems,2014,5(8):2101-2113.
[4] MA M Z,JING M E,YU Z Y,et al.Integrated pipelined task scheduling and core mapping for streaming applications on multi-core systems[C]∥Proceedings of the 12th IEEE International Conference on Solid-State and Integrated Circuit Techno-logy(ICSICT).Guilin:IEEE,2014:1-3.
[5] KONG F X,YI W,DENG Q X.Energy-efficient scheduling of real-time tasks on cluster-based multicores[C]∥Proceedings of Design,Automation & Test in Europe Conference & Exhibition (DATE).Grenoble:IEEE,2011:1-6.
[6] LIU J,MAO Y,ZHANG J,et al.Delay-optimal computationtask scheduling for mobile-edge computing systems[C]∥2016 IEEE International Symposium on Information Theory (ISIT).Barcelona,2016:1451-1455.
[7] CHEN Y O,HU J H,CHEN G S.Energy and performance-aware mapping for real-time complex system based on NoCplatform[J].Journal of Application Research of Compu-ters,2012,9(7):2589-2592.(in Chinese) 陈亦欧,胡剑浩,陈庚生.面向实时复杂系统的基于片上网络多核平台的映射技术研究[J].计算机应用研究,2012,9(7):2589-2592.
[8] CUI Y N,ZHANG W,CHATURVEDI V,et al.Thermal-aware task scheduling for 3D-network-on-chip:A Bottom-to-Top scheme[C]∥Proceedings of the 14th International Symposium on Integrated Circuits (ISIC).Singapore:IEEE,2014:224-227.
[9] CAO S,SALCIZ Z,DING Y T,et al.Temperature-aware task scheduling heuristics on Network-on-Chips[C]∥Proceedings of 2016 IEEE International Symposium on Circuits and Systems (ISCAS).Montreal:IEEE,2016:2603-2606.
[10] DEB K,PRATAP A,AGARWAL S,et al.A fast and elitist multiobjective genetic algorithm:NSGA-II[J].IEEE Transactions on Evolutionary Computation,2002,6(2):182-197.
[11] KAUR R,SINGH G.Genetic algorithm solution for scheduling jobs in multiprocessor environment[C]∥Proceedings of 2012 Annual IEEE India Conference (INDICON).Kochi:IEEE,2012:968-973.
[12] DICK R P,RHODES D L,WOLF W.TGFF:Task Graphs for Free[C]∥Proceedings of the Sixth International Workshop on Hardware/Software Codesign,1988.Seattle:IEEE,1988:97-101.
[13] TOPCUOGLU H,HARIRI S,WU M Y.Performance-effective and low-complexity task scheduling for heterogeneous computing[J].IEEE Transactions on Parallel and Distributed Systems,2002,13(3):260-274.
[14] WU M Y,GAJSKI D D.Hypertool:A programming aid for me-ssage-passing systems[J].IEEE Transactions on Parallel and Distributed Systems,1990,1(3):330-343.
[15] HUANG W,GHOSH S,VELUSAMY S,et al.HotSpot:a compact thermal modeling methodology for early-stage VLSI design[J].IEEE Transactions on Very Large Scale Integration Systems,2006,4(5):501-513.

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!