计算机科学 ›› 2014, Vol. 41 ›› Issue (12): 13-18.doi: 10.11896/j.issn.1002-137X.2014.12.004

• 综述 • 上一篇    下一篇

片上网络业务量的自相似性分析及模型研究

陈亦欧,胡剑浩,凌翔   

  1. 电子科技大学通信抗干扰技术国家级重点实验室 成都611731;电子科技大学通信抗干扰技术国家级重点实验室 成都611731;电子科技大学通信抗干扰技术国家级重点实验室 成都611731
  • 出版日期:2018-11-14 发布日期:2018-11-14
  • 基金资助:
    本文受新一代国家重大专项(2011ZX03003-003-04)资助

Self-similarity Analysis and Modeling for On-chip Traffic

CHEN Yi-ou,HU Jian-hao and LING Xiang   

  • Online:2018-11-14 Published:2018-11-14

摘要: 进行片上网络的架构、映射、流控与服务质量(Quality of Service,QoS)等研究时,迫切需要一个准确的业务量模型用于延时分析与测试验证,以保证设计的性能。而现有的基于马尔科夫模型和回归模型的短程相关模型无法准确地描述业务量的突发性和分形特性,不适用于基于流水的通信信号处理片上系统(System on Chip,SoC)芯片。为了解决这个问题,通过理论与实验相结合的方法,研究了网络拓扑、任务流图、映射对业务量自相似性的影响,根据通信系统的信号处理特点建立 了多处理器片上系统(Multi-core Processing System on Chip,MPSoC)数据关联模型,利用典型DSP系统进行建模实验,用实测的业务量Hurst参数拟合数据关联模型参数与Hurst参数的经验函数关系式,建立了用MPSoC数据关联模型预测和估计业务量Hurst参数的方法。实验表明,采用该业务量模型估计的Hurst参数与其真实值误差较小,能较准确地描述业务量的自相似性。

关键词: 片上网络,业务量分析,自相似性,Hurst参数

Abstract: An accurate traffic analysis model is needed for latency prediction and verification in on-chip design.Unfortunately,the state-of-art Markov-based short range dependent models cannot characterize burst and self-similarity of on-chip traffic,therefore it is not applicable for the communication and signal processing SoCs.This paper proposed a self-similar NoC traffic model based on multiple parameters to provide accurate benchmarks for the design and verification of NoC.Using theoretical derivation and experimental method,this paper established an MPSoC information relevance model,provided an empirical fitting function between the parameters of the relevance model and Hurst parameter,and established the method to estimate Hurst parameter of NoC traffic.The experimental results prove that this traffic model can achieve an approximate and effective Hurst parameter.

Key words: Network on chip,Traffic analysis,Self-similarity,Hurst parameter

[1] Tatas K,Siozios K,Sourdris,et al.Designing 2D and 3D Network-on-Chip Architectures [M].New York,Springer,2014:3-9
[2] Salihundam P,Khan M A,Jain S,et al.A Reconfigurable On-die Traffic Generator in 45nm CMOS for a 48 iA-32 Core Network-on-Chip [C]∥Proceedings of IEEE International Conference on VLSI Design (VLSID).Hyderabad,2012:292-297
[3] Chen Kun-Chih,Kuo Che-Chuan,Hung Hui-Shun,et al.Traffic and Thermal-aware Adaptive Beltway Routing for Three Dimensional Network-on-Chip Systems [C]∥Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS).Beijing,2013:1660-1663
[4] Manevich R,Cidon I,Kolodny A.Dynamic traffic distribution a-mong hierarchy levels in hierarchical Networks-on-Chip (NoCs) [C]∥Proceedings of IEEE/ACM International Symposium on Networks on Chip (NoCS).Tempe,2013:1-8
[5] Khonsari A,Aghajani M R,Tavakkol A,et al.Mathematical analysis of buffer sizing for Network-on-Chips under multimedia traffic [C]∥Proceedings of IEEE International Conference on Computer Design.Lake Tahoe,2008:150-155
[6] Qian Zhi-liang,Bogdan P,Tsui C Y,et al.Performance Evaluation of Multicore Systems:From Traffic Analysis to Latency Predictions [C]∥Proceedings of IEEE/ACM International Conference on Computer-Aided Design.2013:82-84
[7] Kiasari A E,Lu Zhong-hai,Jantsch A.An analytical latencymodel for networks-on-chip [J].IEEE Transactions on Very Large Scale Integration Systems,2013,21(1):113-123
[8] Varatkar G,Marculescu R.On-chip traffic modeling and synthesis for MPEG-2 video applications [J].IEEE Transactions on Very Large Scale Integration Systems,2004,12(1):108-119
[9] Ngo V,Chang J,Bae Y,et al.Latency Optimization for NoC Design of H.264 Decoder Based on Self-similar Traffic Modeling [C]∥Proceedings of International Symposium on Parallel and Distributed Processing and Applications.Ontario,2007:289-302
[10] Soteriou V,Wang H S,Peh L.A statistical traffic model for onchip interconnection networks [C]∥Proceedings of International Symposium on Modeling,Analysis,and Simulation of Computer and Telecommunication System.Monterey,2006:104-116
[11] Min G,Ould-Khaoua M.A performance model for wormhole-switched interconnection networks under self-similar traffic [J].IEEE Transactions on Computers,2004,53(5):601-613
[12] Taqqu M S,Teverovsky V,Willinger W.Estimators for long-range dependence:an empirical study [J].Fractals,1995,3(4):785-798
[13] Fox R,Taqqu M S.Large-sample properties of parameter estimates for strongly dependent stationary Gaussian time series [J].The Annals of Statistics,1986,14(2):517-532
[14] Mandelbrot B B,Taqqu M S.Robust R/S analysis of long-runserial correlation [J].Bulletin of the International Statistical Institute,1979,48(2):59-104
[15] Li Zhong-qi,Ling Xiang,Hu Jian-hao.MSNS:a Top-Down MPI-Style Hierarchical Simulation Framework for Network-on-Chip [C]∥Proceedings of International Conference on Communications and Mobile Computing.Kunming,2009:609-614

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!