计算机科学 ›› 2011, Vol. 38 ›› Issue (9): 279-281.

• 体系结构 • 上一篇    下一篇

面向soc芯片的跨时钟域设计和验证

罗莉,何鸿君,徐炜遐,窦强   

  1. (国防科技大学计算机学院 长沙 410073)
  • 出版日期:2018-11-16 发布日期:2018-11-16
  • 基金资助:
    本文受86s Irk家专项基金项目(2008AA01A202)和核高基重大专项(2009ZX01028-002-002)资助。

Design and Verification of Clock Domain Crossing for SOC

LUO Li, HE Hong-jun , XU Wei-xia , DOU Qiang   

  • Online:2018-11-16 Published:2018-11-16

摘要: 随着高性能、低功耗芯片的发展,多时钟域和跨时钟域(Clock Domain Crossing, CDC)设计越来越多,CDC设计和验证越来越重要。阐述了5种常用的同步器设计模板。验证方法提出了层次化的验证流程:结构化检查,基于断言的验证(assertion-based verification, ABV),对关键模块进行形式化验证。CI)C设计应用于研发的一款65nm工艺SOC芯片(最高主频1GHz,10个时钟域设计、多种工作模式),该芯片已流片回来。经测试,芯片的功能正确,说明设计和验证方法是完备的。

关键词: 跨时钟域设计,基于断言的验证,PSI_属性说明语言,符号模型检查,LTL线性时序逻辑

Abstract: With the increasing number of clock domains and CDC signals in today's high-performance,low-power SOC,the design and verification of CDC problem become more and more important. Traditional verification methods can notfind a comprehensive cross-clock domain design of functional errors in the RTL stage. In this paper, we dicussed 5 types of CDC synchronizer circuit templates of our chip, and proposed hiberarchy verification method: structural analysis, assertion-based verification, and formal verification. Taped sample chip tests show all CDC designs work right, and demonstrate that design and verification method arc effective and complete.

Key words: Clock domain crossing design,Asscrtion-based verification,PSI_(Property Specification Language),Symbolie model checking,LTL logic

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!