计算机科学 ›› 2025, Vol. 52 ›› Issue (5): 91-100.doi: 10.11896/jsjkx.240800055
魏晓辉1, 关泽宇1, 王晨洋1, 岳恒山1, 吴旗1,2
WEI Xiaohui1, GUAN Zeyu1, WANG Chenyang1, YUE Hengshan1, WU Qi1,2
摘要: 近年来,随着模型推理精度的不断提高,卷积神经网络(CNN)在安全关键领域得到了广泛应用。为了满足CNN在实时性、高性能和低功耗计算方面的需求,领域专用架构的CNN加速器应运而生。其中,脉动阵列架构凭借其结构简单和高并行度等优势被广泛应用。然而,由于制程变异和设备老化等因素的影响,脉动阵列容易发生Stuck-At故障(SAF),进而可能导致灾难性事故。因此,制定针对脉动阵列的容错策略显得尤为重要。然而,现有的容错策略存在时间和资源开销大、网络参数修改过多等问题。为实现高效且低开销的轻量级容错策略,拟挖掘CNN的固有容错能力,对部分影响较小的SAF进行松弛处理,以减少整体容错开销。同时,充分考虑脉动阵列的计算特性,提出了行(列)交换和权重拆分两种软硬件协同容错设计,有效缓解SAF对模型推理精度的影响。实验结果表明,相较于传统行(列)跳过策略和选择保护策略,所提软硬件协同容错策略在执行效率和模型精度恢复方面更具优势。
中图分类号:
[1]KRIZHEVSKY A,SUTSKEVER I,HINTON G E.ImageNet classification with deep convolutional neural networks[J].Communications of the ACM,2017,60(6):84-90. [2]AMODEI D,ANANTHANARAYANAN S,ANUBHAI R,et al.Deep speech 2:End-to-end speech recognition in english and mandarin[C]//International Conference on Machine Learning.PMLR,2016:173-182. [3]ZHANG Y,WALLACE B.A sensitivity analysis of(and practitioners' guide to) convolutional neural networks for sentence classification[J].arXiv:1510.03820,2015. [4]CHEN Y H,KRISHNA T,EMER J S,et al.Eyeriss:An energyefficient reconfigurable accelerator for deep convolutional neural networks[J].IEEE Journal of Solid-state Sircuits,2016,52(1):127-138. [5]ALWANI M,CHEN H,FERDMAN M,et al.Fused-layer CNN accelerators[C]//2016 49th Annual IEEE/ACM International Symposium on Microarchitecture(MICRO).IEEE,2016:1-12. [6]CHEN T,DU Z,SUN N,et al.Diannao:A small-footprint high-throughput accelerator for ubiquitous machine-learning[J].ACM SIGARCH Computer Architecture News,2014,42(1):269-284. [7]JOUPPI N P,YOUNG C,PATIL N,et al.In-datacenter performance analysis of a tensor processing unit[C]//Proceedings of the 44th Annual International Symposium on Computer Architecture.2017:1-12. [8]CHUNG E,FOWERS J,OVTCHAROV K,et al.Serving DNNsin real time at datacenter scale with project brainwave[J].IEEE Micro,2018,38(2):8-20. [9]ZHOU X,LI Y,LIANG W.CNN-RNN based intelligent recommendation for online medical pre-diagnosis support[J].IEEE/ACM Transactions on Computational Biology and Bioinforma-tics,2020,18(3):912-921. [10]CHISHTI S O A,RIAZ S,BILALZAIB M,et al.Self-drivingcars using CNN and Q-learning [C]//2018 IEEE 21st International Multi-Topic Conference(INMIC).IEEE,2018:1-7. [11]ZHANG J J,GU T,BASU K,et al.Analyzing and mitigating the impact of permanent faults on a systolic array based neural network accelerator [C]//2018 IEEE 36th VLSI Test Symposium(VTS).IEEE,2018:1-6. [12]TAKANAMI I,FUKUSHI M.A built-in circuit for self-repairing mesh-connected processor arrays with spares on diagonal[C]//2017 IEEE 22nd Pacific Rim International Symposium on Dependable Computing(PRDC).IEEE,2017:110-117. [13]ZHAO Y,WANG K,LOURI A.FSA:An efficient fault-tolerant systolic array-based DNN accelerator architecture[C]//2022 IEEE 40th International Conference on Computer Design(ICCD).IEEE,2022:545-552. [14]STOJANOVIĆ N M,MILOVANOVIĆ E I,STOJMENOVIĆ I,et al.Mapping matrix multiplication algorithm onto fault-tole-rant systolic array[J].Computers & Mathematics with Applications,2004,48(1/2):275-289. [15]SIDDIQUE A,HOQUE K A.Exposing Reliability Degradation and Mitigation in Approximate DNNs Under Permanent Faults[J].IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2023,31(4):555-566. [16]RUOSPO A,GAVARINI G,DE SIO C,et al.Assessing convolutional neural networks reliability through statistical fault injections[C]//2023 Design,Automation & Test in Europe Conference & Exhibition(DATE).IEEE,2023:1-6. [17]ZHOU F Y,JIN L P,DONG J.A Survey of Convolutional Neural Network Research [J].Chinese Journal of Computers,2017,40(6):1229-1251. [18]JU X,CAO Y S,WEN M,et al.An Optimization Strategy of Systolic Array with Early Switching Between Matrix Blocks [J].Computer Engineering and Science,2023,45(1):1-9. [19]PAPPALARDO S,RUOSPO A,O'CONNOR I,et al.A Fault Injection Framework for AI Hardware Accelerators[C]//2023 IEEE 24th Latin American Test Symposium(LATS).IEEE,2023:1-6. [20]NARDI A,ARMATO A.Functional safety methodologies forautomotive applications [C]//2017 IEEE/ACM International Conference on Computer-Aided Design(ICCAD).IEEE,2017:970-975. [21]SCHREIBER T.Extremely simple nonlinear noise-reductionmethod[J].Physical Review E,1993,47(4):2401. [22]SAMAJDAR A,JOSEPH J M,ZHU Y,et al.A systematicmethodology for characterizing scalability of dnn accelerators using scale-sim[C]//2020 IEEE International Symposium on Performance Analysis of Systems and Software(ISPASS).IEEE,2020:58-68. [23]CHOI W,SHIN D,PARK J,et al.Sensitivity based error resilient techniques for energy efficient deep neural network accelerators[C]//Proceedings of the 56th Annual Design Automation Conference 2019.2019:1-6. [24]LEE H,KIM J,PARK J,et al.STRAIT:Self-Test and Self-Recovery for AI Accelerator[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2023,42(9):3092-3104. |
|