Computer Science ›› 2018, Vol. 45 ›› Issue (6A): 513-517.

• Interdiscipline & Application • Previous Articles     Next Articles

Design of Cache Scheduling Policies Based on MLC STT-RAM

ZHU Yan-na1,WANG Dang-hui2   

  1. China Air-borne Missile Academy,Luoyang,Henan 471009,China1
    Northwestern Polytechnical University,Xi’an 710129,China2
  • Online:2018-06-20 Published:2018-08-03

Abstract: Multi-level cell (MLC) STT-RAM which can store multiple bits per cell,has been considered as a promising alternative to SRAM for the last-level Cache.MLC STT-RAM can reduce static power consumption significantly and has smaller cell size facilitates and better read performance.However,a major shortcoming of MLC STT-RAM Cache is its inefficient write operations.Based on hard/soft partition structure,this paper implemented write intensity prediction for energy-efficient MLC STT-RAM LLC.The objective of this architecture is to dynamically predict whether blocks will be written more than certain times thereby helping to reduce write latency and energy of MLC STT-RAM Cache.The key idea to solve this problem is to correlate write intensity with memory access instruction addresses.On top of that,this paper designed MLC STT-RAM LLC based on this predictor,in which prediction results are used to determine Cache line placement.Experimental results showed that this architecture reduces 6.3% of write energy consumption and improves system performance by 1.9% on average compared to the previous approach.

Key words: Energy-efficient, Last level high-speed cache, Multi-Level Cell Spin-Transfer Torque-RAM, Prediction mechanism

CLC Number: 

  • TP332.3
[1]CHANDRAKASAN A P,SHENG S,et al.Low-Power CMOS Digital Design [J].IEEE Journal of Solid-State Circuits,1992,27(4):473-484.
[2]JOSHI M,ZHANG W Y,LI T.Mercury:A Fast and Energy-Efficient Multi-level Cell based Phase Change Memory System [C]∥High Performance Computer Architecture (HPCA).San Antonio:IEEE,2011:345-356.
[3]PARK S K,MAENG M K,PARK K W,et al.Adaptive Wear-Leveling Algorithm for PRAM Main Memory with a DRAM Buffer [C]∥ACM Transactions on Embedded Computing Systems.New York:ACM,2014.
[4]EKEN E,ZHANG Y,YAN B.Spin-hall assisted STT-RAM design and discussion [C]∥IEEE Magnatics Conference (INTERMAG).Austin:IEEE,2015:1.
[5]ZHANG Y J,LI Y,SUN Z Y.Read Performance:The Newest Barrier in Scaled STT-RAM [C]∥IEEE Transactions on Very Large Scale Integration (VLSI) Systems.IEEE,2015:1170-1174.
[6]CHEN Y R,WONG W F,LI H,et al.Processor Caches with Multi-level Spin-transfer Torque RAM Cells [C]∥IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).Piscataway:IEEE,2011:73-78.
[7]BI X Y,MAO M J,WANG D H,et al.Unleashing the potential of MLC STT-RAM Caches [C]∥IEEE/ACM International Conference on Computer-Aided Design (ICCAD).San Jose:IEEE,2013:429-436.
[8]MURALIMANOLAR N,BALASUBRAMONIAN B,JOUPPI N P,et al.CACTI 6.0:A tool to model large Caches[R].HP Laboratories,Technical Report,2009.
[9]CHEN Y R,WANG X B,ZHU W Z.Access Scheme of Multi-Level Cell Spin-Transfer Torque Random Access Memory and its Optimization [C]∥IEEE International Midwest Symposium on Circuits and Systems (MWSCAS).Seattle:IEEE,2010:1109-1112.
[10]ZHOU P,ZHAO B,YANG J,et al.Energy Reduction for STT-RAM using Early Write Termination [C]∥IEEE/ACM International Conference on Computer-Aided Design of Technical Papers.San Jose:IEEE,2009:264-268.
[11]JIANG L,ZHAO B,ZHANG Y T,et al.Construction Large and Fast Multi-Level Cell STT-MRAM based Cache for Embedded Processors [C]∥Design Automation Conference.New York:ACM,2012:907-912.
[12]AHN J W,YOO S J,CHOI K.Write intensity prediction for ener- gy-efficient non-volatile Caches [C]∥International Sympo-sium on Low Power Electronics and Design (ISLPED).Beijing:IEEE,2013:223-228.
[13]HENNING J L.SPEC CPU2006 benchmark descriptions[J]. ACM SIGARCH Computer Architecture,2006,34(4):1-17.
[1] CHEN Xiao-jie,ZHOU Qing-lei,LI Bin. Energy-efficient Password Recovery Method for 7-Zip Document Based on FPGA [J]. Computer Science, 2020, 47(1): 321-328.
[2] YE Fu-ming, LI Wen-ting, WANG Ying. MC2ETS:An Energy-efficient Tasks Scheduling Algorithm in Mobile Cloud Computing [J]. Computer Science, 2019, 46(6): 135-142.
[3] YANG Rui-jun, ZHU Ke, CHENG Yan. Database-level Web Cache Replacement Strategy Based on SVM Access Prediction Mechanism [J]. Computer Science, 2019, 46(6): 201-205.
[4] YANG Jin, PANG Jian-min, WANG Jun-chao, YU Jin-tao and LIU Rui. High-productivity Model Based on Proactive Cognition and Decision [J]. Computer Science, 2015, 42(11): 68-72.
[5] LIN Zhi-gui,WANG Xi,ZHAO Ke,LIU Ying-ping,YANG Zi-yuan and ZHANG Hui-qi. Energy-efficient Routing Algorithm on Mobile Sink in Wireless Sensor Network [J]. Computer Science, 2014, 41(Z11): 199-203.
[6] XIONG Zhi,LIU Wei-jun and CUI Zhang-wei. Energy-efficient Deployment Scheme Based on Queue Model and Differential Evolution Algorithm for Web Cluster [J]. Computer Science, 2013, 40(9): 89-92.
[7] WANG Hai-xiang,ZHENG Ji-ping and SONG Bao-li. Skyline Query Processing in Wireless Sensor Networks [J]. Computer Science, 2013, 40(8): 14-23.
[8] WANG Ying-feng , LIU Zhi-jing. Energy-efficient Task Scheduling Approach for Homogeneous Multi-core Processors [J]. Computer Science, 2011, 38(9): 294-297.
[9] TANG Lei,ZHOU Xing-she,ZHANG Da-qing,SUI Yu-lei,MA Jun-yan. RCEA; An Energy Efficient Based Regular Coverage-enhancing Algorithm for Wireless Sensor Networks [J]. Computer Science, 2010, 37(10): 48-54.
[10] . [J]. Computer Science, 2008, 35(6): 110-113.
[11] . [J]. Computer Science, 2008, 35(1): 38-41.
[12] . [J]. Computer Science, 2007, 34(8): 24-29.
[13] . [J]. Computer Science, 2006, 33(3): 7-10.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!