计算机科学 ›› 2014, Vol. 41 ›› Issue (Z6): 47-51.

• 智能计算 • 上一篇    下一篇

基于神经网络的多核功耗预测策略

袁景凌,缪旭阳,杨敏龙,向尧   

  1. 武汉理工大学计算机科学与技术学院 武汉430070;武汉理工大学计算机科学与技术学院 武汉430070;武汉理工大学计算机科学与技术学院 武汉430070;武汉理工大学计算机科学与技术学院 武汉430070
  • 出版日期:2018-11-14 发布日期:2018-11-14
  • 基金资助:
    本文受国家自然科学基金(61303029),留学回国人员科研启动基金([2012]1707),中央高校基本科研业务费专项资金(2013-IV-054)资助

Neural Network Based Power Prediction Strategy for Multi-core Architecture

YUAN Jing-ling,MIAO Xu-yang,YANG Min-long and XIANG Yao   

  • Online:2018-11-14 Published:2018-11-14

摘要: 多/众核处理器是计算机发展的趋势。在多/众核处理器的设计过程中,如何从庞大的设计空间中找出满足条件的设计结构,成为了关键和难点。为了解决传统软件模拟技术开销大、效率低等问题,提出了基于神经网络的模型来预测多核处理器的性能和功耗,建立了BP与RBF两种神经网络预测模型,利用SESC模拟器进行CPI与POWER模拟,并比较分析了两种预测模型的预测精度和可靠性。模拟结果表明,采用神经网络预测模型平均误差控制在1.6%~6.6%,较传统的软件模拟等方法,能更有效地节省时间、提高效率,其中,RBF神经网络预测模型具有更好的预测精度。

关键词: 多核体系结构,机器学习,SESC模拟,预测模型 中图法分类号TP183文献标识码A

Abstract: Multi/many-core processor is a trend of computer development.In the design process of multi-core processor,how to find the design structure from a large design space to meet the conditions has become a critical and challen-ging task.In order to solve the high overhead and low efficiency problem of traditional software simulation technology,this paper proposed a model based on neural network to predict the performance and power of multi-core processors,established two kinds of BP and RBF neural network prediction models,used SESC simulator to simulate CPI and power,and compared and analyzed the prediction accuracy and reality of two kinds of prediction models.Simulation results show that the neural network prediction model average error is 1.6%~6.6% in control.Compared to general software simulation method,it can also save time and improve efficiency.More importantly,RBF neural network prediction model has better prediction accuracy.

Key words: Multi-core architecture,Machine learning,SESC simulation,Prediction model

[1] 计算机体系结构国家重点实验室.21世纪计算机体系结构[J].中国计算机学会通讯,2012,12(8):70-81
[2] 王海霞,汪东升.多/众核处理器的关键技术[J].中国计算机学会通讯,2009,1(5):12-19
[3] Hu W,Wang J,Gao X,et al.Godson-3:a scabale muticore RISC processor with X86emulation[J].IEEE Micro,2009,29(2):17-29
[4] Van Biesbrouck M,Eeckhout L,Calder B.Considering all star-ting points for simultaneous multithreading simulation[C]∥Proceedings of ISPASS.2006:143-153
[5] Chiou D,Sunwoo D,Kim J,et al.FPGA-accelerated simulation technologies(FAST):fast,full-system,cycle-accurate simulators[C]∥Proceedings of MICRO.2007:249-261
[6] Genbrugge D,Eeckhout L.Chip multiprocessor design space exploration through statistical simulation[J].IEEE Transactions on Computers,2009,58(12):1668-1881
[7] Joseph P J,Vaswani K,Thazhuthaveetil M J.Construction and use of linear regression models for processor performance analysis[C]∥Proceedings of HPCA.2006:99-108
[8] Joseph P J,Vaswani K,Thazhuthaveetil M J.A predictive performance model for superscalar processors[C]∥Proceedings of MICRO.2006:161-170
[9] Lee B,Collins J,Wang H,et al.CPR:composable performance regression for scalable multiprocessor models[C]∥Proceedings of MICRO.2008:270-281
[10] Hamerly G,Perelman E,Lau J,et al.Using machine learning to guide architecture simulation[J].Journal of Machine Learning Research,2006,7(2):343-378
[11] Ipek E,McKee S A,Caruana R.Efficiently exploring architec-tural design spaces via predictive modeling[C]∥Proceedings of ASPLOS.2006:195-206
[12] Jung H,Ju M,Che H.A Theoretical Framework for DesignSpace Exploration of Many Core Processors[C]∥Proceedings of MASCOTS.2011:117-125
[13] 喻之斌,金海,邹南海.计算机体系结构软件模拟技术[J].软件学报,2008,9(4):1051-1068
[14] Guo Q,Chen T Y,Zhou Z,et al.Effective and efficient microprocessor design space exploration using unlabeled design configurations[C]∥Proceedings of IJCAI.2011:1671-1677
[15] Tesauro G.Online resource allocation using decompositional reinforcement learning[C]∥Proceedings of AAAI.2005:886-891
[16] Ganapathi A,Kuno H,Dayal U.Predicting multiple performance metrics for queries:better decisions enabled by machine learning[C]∥Proceedings of ICDE.2009:592-603
[17] Cho C-B,Poe J,Li Tao,et al.Accurate,scalable and informative design space exploration for large and sophisticated multi-core oriented architectures[C]∥Proceedings of MASCOTS.2009:16-25
[18] 李胜梅,程步奇,高兴誉,等.主成分线性回归模型分析应用程序性能[J].计算机研究与发展,2009,6(11):1949-1955
[19] Huffmire T,Sherwood T.Wavelet-based phase classification[C]∥Proceedings of PACT.2006:95-104
[20] Yuan Jing-ling,Jiang Tao,Zhong Luo.Grey neural networkbased predictive model for multi-core architecture 2D spatial characteristics[C]∥Proceedings of ISNN.LNCS551,2009:889-892
[21] Martinez J F,Ipek E.Dynamic multi-core resource management:a machine learning approach[J].IEEE MICRO,2009,29(5):8-17
[22] 余凯,贾磊,陈雨强,等.深度学习的昨天,今天和明天[J].计算机研究与发展,2013,0(9):1799-1804
[23] Pang Jiu-feng,Li Xian-feng,Xie Jin-song.Microarchitectural Design Space Exploration via Support Vector Machine[J].Acta Scientiarum Naturalium Universitatis Pekinensis,2010,46(1):55-63

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!