计算机科学 ›› 2020, Vol. 47 ›› Issue (4): 42-49.doi: 10.11896/jsjkx.190300088

• 计算机体系结构 • 上一篇    下一篇

近阈值电压下可容错的一级缓存结构设计

程煜1, 刘伟1,2,3, 孙童心1, 魏志刚1, 杜薇1,2   

  1. 1 武汉理工大学计算机科学与技术学院 武汉430070;
    2 交通物联网技术湖北省重点实验室(武汉理工大学) 武汉430070;
    3 嵌入式系统与服务计算教育部重点实验室(同济大学) 上海201804
  • 收稿日期:2019-02-10 出版日期:2020-04-15 发布日期:2020-04-15
  • 通讯作者: 刘伟(wliu@whut.edu.cn)
  • 基金资助:
    国家自然科学基金面上项目(61672384);教育部人文社科基金项目(16YJCZH014);中央高校基本科研业务费(2016III028,2017III028-005);嵌入式系统与服务计算教育部重点实验室(同济大学)开放基金(ESSCKF2018-05)

Design of Fault-tolerant L1 Cache Architecture at Near-threshold Voltage

CHENG Yu1, LIU Wei1,2,3, SUN Tong-xin1, WEI Zhi-gang1, DU Wei1,2   

  1. 1 School of Computer Science and Technology,Wuhan University of Technology,Wuhan 430070,China;
    2 Hubei Key Laboratory of Transportation Internet of Things,Wuhan University of Technology,Wuhan 430070,China;
    3 Key Laboratory of Embedded Systems and Service Computing,Ministry of Education,Tongji University,Shanghai 201804,China
  • Received:2019-02-10 Online:2020-04-15 Published:2020-04-15
  • Contact: LIU Wei,born in 1978,Ph.D,associate professor,is a member of China Computer Federation.His main research interests include in-memory computing and edge computing.
  • About author:CHENG Yu,born in 1977,bachelor,lecturer,is not a member of china computer federation.His main research interests include computer network security and Internet of things.
  • Supported by:
    This work was supported by the General Program of National Natural Science Foundation of China(61672384),Humanities and Social Sciences Program of Ministry of Education(16YJCZH014),Fundamental Research Funds for the Central Universities (2016III028,2017III028-005) and Open fund of Key Laboratory of Embedded Systems and Service Computing of Ministry of Education,Tongji University(ESSCKF2018-05).

摘要: 随着硅的集成度和时钟频率的急剧提升,功耗和散热已成为体系结构设计中的关键挑战。近阈值电压技术是一种能够有效降低处理器能耗的有着广泛应用前景的技术。然而,在近阈值电压下,大量SRAM单元失效,导致一级缓存的错误率升升,给一级缓存的可靠性带来了严峻挑战。目前有很多学者通过牺牲缓存容量或者引入额外的延迟来纠正缓存的错误,但大多方法只能适应SRAM单元的低失效率环境,在高失效率的环境下表现较差。文中提出了一种基于传统6T SRAM的近阈值电压下可容错的一级缓存结构——FTFLC(Fault-Tolerant First-Level Cache),在高失效率的环境下,其表现出了更好的性能。FTFLC采用两级映射机制,利用块映射机制和位纠正机制分别对缓存行中有错的比特位和子数据块进行映射保护。此外,文中还提出了FTFLC初始化算法将两种映射机制结合,提高了可用的缓存容量。最后,使用gem5模拟器,在650mV电压的高失效率环境下对FTFLC进行仿真实验,将其与3种已有缓存结构10T-Cache,Bit-fix,Correction Prediction进行对比。对比结果表明,FTFLC相比其他的缓存结构,在保持较低面积和能耗开销的同时,拥有至少3.86%的性能提升,且将L1 Cache的容量可用率提升了12.5%。

关键词: 低能耗, 近阈值电压, 可靠性, 容错性, 一级缓存

Abstract: With the aggressive silicon integration and clock frequency increasing,power consumption and heat dissipation have become key challenges in the design of high-performance processors.NTC is emerging as a promising solution to achieve an order of magnitude reduction in energy consumption in future processors.However,reducing the supply voltage to near-threshold level significantly increases the SRAM bit-cell failures,leading to the high error rate in L1 cache.Researchers have proposed techniques either by sacrificing capacity or incurring additional latency to correct the errors in L1 cache.But most schemes can only adapt to the low error rate environment of SRAM bit-cell,and perform poorly in high error rate environment.In this paper,this paper proposed a fault-tolerant First-Level Cache design (FTFLC) based on conventional 6T SRAM cells to solve reliability challenges in high error rate environment.FTFLC adopts a two-level mapping mechanism,which uses block mapping mechanism and bit correction mechanism to protect the faulty bits data in the cache line.In addition,this paper proposed a FTFLC initialization algorithm to improve the available cache capacity by combining two mapping mechanisms.Experimental results show that compared with three existing schemes,FTFLC improves performance by 3.86% and increases 12.5% L1 cache capacity while maintaining a low area and energy consumption.

Key words: Fault-tolerance, L1 cache, Low energy consumption, Near-threshold voltage, Reliability

中图分类号: 

  • TP333
[1]DRESLINSKI R G,WIECKOWSKI M,BLAAUW D,et al.Near-threshold computing:Reclaiming Moore’s Law through energy efficient integrated circuits[J].Proceedings of the IEEE,2010,98(2):253-266.
[2]ZHANG Y H,JIANG Y F.Research progress of near threshold voltage circuits [J].Microelectronics,2016,46(1):107-112.
[3]HARRIS D M,HARRIS S L.Digital design and computer architecture (Second Edition)[M].Elsevier,2013:252.
[4]QURESHI M K,CHISHTI Z.Operating SECDED-based caches at ultra-low voltage with FLAIR[C]//2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).2013:1-11.
[5]CHISHTI Z,ALAMELDEEN A R,WILKERSON C,et al.Improving cache lifetime reliability at ultra-low voltages[C]//Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture.New York,2009:89-99.
[6]OH T W,JUNG S O.SRAM Cell with Data-Aware Power-Gating Write-Asist for Near-Threshold Operation[C]//2018 IEEE International Symposium on Circuits and Systems (ISCAS).IEEE,2018:1-4.
[7]GEBREGIORGIS A,BISHNOI R,TAHOORI M B.A Comprehensive Reliability Analysis Framework for NTC Caches:A System to Device Approach[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2019,38(3):439-452.
[8]GU Y,YAN D,VERMA V,et al.SRAM based opportunistic energy efficiency improvement in dual-supply near-threshold processors[C]//2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).IEEE,2018:1-6.
[9]ALAMELDEEN A R,WAGNER I,CHISHTI Z,et al.Energy-efficient cache design using variable-strength error -correcting codes[C]//Proceedings of the 38th Annual International Symposium on Computer Architecture.New York,2011:461-472.
[10]ZHAO C,DING Y L,CHEN Z J.Fault-tolerance cache research based on mixed ECC[J].Application Research of Computers,2016,33(2):444-446.
[11]YANG K.Low power SRAM research and design under near-threshold voltage supply[D].Shanghai:Shanghai Jiao Tong University,2011.
[12]QI B B.The design of near-threshold adiabatic SRAM[D].Ningbo:Ningbo University,2015.
[13]YU Y Q,WANG T Q,QI C H,et al.The analysis of the stability of 65nm SRAM at near-threshold region[J].Microelectronics &Computer,2017,34(1):26-29.
[14]MAHMOOD T,KIM S,HONG S.Macho:A failure modeloriented adaptive cache architecture to enable near -threshol voltage scaling[C]//IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).2013:532-541.
[15]FERRERÁNA,SUÁREZ-GRACIA D,ALASTRUEY- BENEDÉ J,et al.Concertina:Squeezing in cache content to operate atnear-threshold voltage[J].IEEE Transactions on Computers,2016,65(3):755-769.
[16]ANSARI A,FENG S,GUPTA S,et al.Archipelago:A polymorphic cache design for enabling robust near-threshold operation[C]//2011 IEEE 17th International Symposium on High Performance Computer Architecture.2011:539-550.
[17]GEBREGIORGIS A,TAHOORI M B.Reliability analysis and mitigation of near threshold caches[C]//International Mixed Signals Testing Workshop.2017:1-6.
[18]WILKERSON C,GAO H,ALAMELDEEN A R,et al.Tra ding off cache capacity for reliability to enable low voltage ope ration[J].Computer Architecture News,2008,36(3):203 -214.
[19]WANG J,LIU Y J,ZHANG W G,et al.Exploring variation-aware fault-tolerant cache under near -threshold computing[C]//45th International Conference on Parallel Processing,Philadelphia.2016:149-158.
[20]DUWE H,JIAN X,KUMAR R.Correction prediction:Reducing error correction latency for on-chip memories [C]//IEEE International Symposium on High Performance Computer Architecture.2015:463-475.
[21]KHUBAIB,SULEMAN M A,HASHEMI M,et al.Morph Core:An energy-efficient microarchitecture for high perfor -mance ILP and high throughput TLP[C]//IEEE/ACM International Symposium on Microarchitecture.2012:305-316.
[22]AL-OMARI H,SABRI K E.New graph coloring algorithms[J].Journal of Mathematics & Statistics,2006,2(4):439-441.
[23]BINKERT N,BECKMANN B,BLACK G,et al.The gem5 simulator[J].ACM SIGARCH Computer Architecture News,2011,39(2):1-7.
[24]DOWECK J.Inside the CoreTM Microarchitecture[C]//IEEE Hot Chips 18 Symposium (HCS).2006:1-35.
[25]CALHOUN B H,CHANDRAKASAN A P.A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation[J].IEEE Journal of Solid-State Circuits,2007,42(3):680-688.
[1] 王鑫, 周泽宝, 余芸, 陈禹旭, 任昊文, 蒋一波, 孙凌云.
一种面向电能量数据的联邦学习可靠性激励机制
Reliable Incentive Mechanism for Federated Learning of Electric Metering Data
计算机科学, 2022, 49(3): 31-38. https://doi.org/10.11896/jsjkx.210700195
[2] 房婷, 宫傲宇, 张帆, 林艳, 贾林琼, 张一晋.
一种传输时限下认知无线电网络的动态广播策略
Dynamic Broadcasting Strategy in Cognitive Radio Networks Under Delivery Deadline
计算机科学, 2021, 48(7): 340-346. https://doi.org/10.11896/jsjkx.200900001
[3] 亓慧, 史颖, 李灯熬, 穆晓芳, 侯明星.
基于连续型深度置信神经网络的软件可靠性预测
Software Reliability Prediction Based on Continuous Deep Confidence Neural Network
计算机科学, 2021, 48(5): 86-90. https://doi.org/10.11896/jsjkx.210200055
[4] 冯凯, 马鑫玉.
(n,k)-冒泡排序网络的子网络可靠性
Subnetwork Reliability of (n,k)-bubble-sort Networks
计算机科学, 2021, 48(4): 43-48. https://doi.org/10.11896/jsjkx.201100139
[5] 冯凯, 李婧.
k元n方体的子网络可靠性研究
Study on Subnetwork Reliability of k-ary n-cubes
计算机科学, 2020, 47(7): 31-36. https://doi.org/10.11896/jsjkx.190700170
[6] 王慧妍, 徐经纬, 许畅.
环境感知自适应软件的运行时输入验证技术综述
Survey on Runtime Input Validation for Context-aware Adaptive Software
计算机科学, 2020, 47(6): 1-7. https://doi.org/10.11896/jsjkx.200400081
[7] 李苏婷,张严.
GSOS算子下共变-异变模拟的公理刻画
Axiomatizing Covariation-Contravariation Simulation Under GSOS Operators
计算机科学, 2020, 47(1): 51-58. https://doi.org/10.11896/jsjkx.181102026
[8] 李蜜, 庄毅, 胡镡文.
一种结合AADL与Z的嵌入式软件可靠性建模与评估方法
Embedded Software Reliability Model and Evaluation Method Combining AADL and Z
计算机科学, 2019, 46(8): 217-223. https://doi.org/10.11896/j.issn.1002-137X.2019.08.036
[9] 弋泽龙,温玉梅,林燕敏,陈伟庭,吕冠宇.
多层缺陷关联效应对软件可靠性增长过程的影响
Impacts of Correlation Effects among Multi-layer Faults on Software Reliability Growth Processes
计算机科学, 2018, 45(2): 241-248. https://doi.org/10.11896/j.issn.1002-137X.2018.02.042
[10] 吴文华, 宋亚飞, 刘晶.
直觉模糊框架内的证据动态可靠性评估及应用
Dynamic Reliability Evaluation Method of Evidence Based on Intuitionistic Fuzzy Sets and Its Applications
计算机科学, 2018, 45(12): 160-165. https://doi.org/10.11896/j.issn.1002-137X.2018.12.025
[11] 刘凯, 梁欣, 张俊萍.
基于软硬系统综合方法的软件失效问题分析
Analysis on Technical Support Equipments’ Software Invalidation Based on Soft and Hard Integrated System Methodology
计算机科学, 2018, 45(11A): 494-496.
[12] 赵冉, 潘根梅.
能量捕获无线传感器网络中高可靠数据收集策略
High Reliable Data Collection Algorithm in Energy Harvesting Wireless Sensor Networks
计算机科学, 2018, 45(11A): 303-307.
[13] 李灵俐, 白光伟, 沈航, 王天荆.
基于簇的认知多媒体传感器网络实时路由协议
Cluster-based Real-time Routing Protocol for Cognitive Multimedia Sensor Networks
计算机科学, 2018, 45(10): 83-88. https://doi.org/10.11896/j.issn.1002-137X.2018.10.016
[14] 葛斌,代陈,嵇介曲,吴波.
基于分组的无线传感网多轮分簇路由算法
Grouping-based Wireless Sensor Network Multi-rounds Clustering Routing Algorithm
计算机科学, 2017, 44(Z6): 305-308. https://doi.org/10.11896/j.issn.1002-137X.2017.6A.069
[15] 欧阳城添,陈莉莉,王曦.
高层次时序电路可靠度估计方法研究进展
Survey on Reliability Estimation Methods of Sequential Circuit in Height-level
计算机科学, 2017, 44(Z11): 33-38. https://doi.org/10.11896/j.issn.1002-137X.2017.11A.006
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!