Computer Science ›› 2020, Vol. 47 ›› Issue (4): 42-49.doi: 10.11896/jsjkx.190300088

• Computer Architecture • Previous Articles     Next Articles

Design of Fault-tolerant L1 Cache Architecture at Near-threshold Voltage

CHENG Yu1, LIU Wei1,2,3, SUN Tong-xin1, WEI Zhi-gang1, DU Wei1,2   

  1. 1 School of Computer Science and Technology,Wuhan University of Technology,Wuhan 430070,China;
    2 Hubei Key Laboratory of Transportation Internet of Things,Wuhan University of Technology,Wuhan 430070,China;
    3 Key Laboratory of Embedded Systems and Service Computing,Ministry of Education,Tongji University,Shanghai 201804,China
  • Received:2019-02-10 Online:2020-04-15 Published:2020-04-15
  • Contact: LIU Wei,born in 1978,Ph.D,associate professor,is a member of China Computer Federation.His main research interests include in-memory computing and edge computing.
  • About author:CHENG Yu,born in 1977,bachelor,lecturer,is not a member of china computer federation.His main research interests include computer network security and Internet of things.
  • Supported by:
    This work was supported by the General Program of National Natural Science Foundation of China(61672384),Humanities and Social Sciences Program of Ministry of Education(16YJCZH014),Fundamental Research Funds for the Central Universities (2016III028,2017III028-005) and Open fund of Key Laboratory of Embedded Systems and Service Computing of Ministry of Education,Tongji University(ESSCKF2018-05).

Abstract: With the aggressive silicon integration and clock frequency increasing,power consumption and heat dissipation have become key challenges in the design of high-performance processors.NTC is emerging as a promising solution to achieve an order of magnitude reduction in energy consumption in future processors.However,reducing the supply voltage to near-threshold level significantly increases the SRAM bit-cell failures,leading to the high error rate in L1 cache.Researchers have proposed techniques either by sacrificing capacity or incurring additional latency to correct the errors in L1 cache.But most schemes can only adapt to the low error rate environment of SRAM bit-cell,and perform poorly in high error rate environment.In this paper,this paper proposed a fault-tolerant First-Level Cache design (FTFLC) based on conventional 6T SRAM cells to solve reliability challenges in high error rate environment.FTFLC adopts a two-level mapping mechanism,which uses block mapping mechanism and bit correction mechanism to protect the faulty bits data in the cache line.In addition,this paper proposed a FTFLC initialization algorithm to improve the available cache capacity by combining two mapping mechanisms.Experimental results show that compared with three existing schemes,FTFLC improves performance by 3.86% and increases 12.5% L1 cache capacity while maintaining a low area and energy consumption.

Key words: Fault-tolerance, L1 cache, Low energy consumption, Near-threshold voltage, Reliability

CLC Number: 

  • TP333
[1]DRESLINSKI R G,WIECKOWSKI M,BLAAUW D,et al.Near-threshold computing:Reclaiming Moore’s Law through energy efficient integrated circuits[J].Proceedings of the IEEE,2010,98(2):253-266.
[2]ZHANG Y H,JIANG Y F.Research progress of near threshold voltage circuits [J].Microelectronics,2016,46(1):107-112.
[3]HARRIS D M,HARRIS S L.Digital design and computer architecture (Second Edition)[M].Elsevier,2013:252.
[4]QURESHI M K,CHISHTI Z.Operating SECDED-based caches at ultra-low voltage with FLAIR[C]//2013 43rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN).2013:1-11.
[5]CHISHTI Z,ALAMELDEEN A R,WILKERSON C,et al.Improving cache lifetime reliability at ultra-low voltages[C]//Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture.New York,2009:89-99.
[6]OH T W,JUNG S O.SRAM Cell with Data-Aware Power-Gating Write-Asist for Near-Threshold Operation[C]//2018 IEEE International Symposium on Circuits and Systems (ISCAS).IEEE,2018:1-4.
[7]GEBREGIORGIS A,BISHNOI R,TAHOORI M B.A Comprehensive Reliability Analysis Framework for NTC Caches:A System to Device Approach[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2019,38(3):439-452.
[8]GU Y,YAN D,VERMA V,et al.SRAM based opportunistic energy efficiency improvement in dual-supply near-threshold processors[C]//2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).IEEE,2018:1-6.
[9]ALAMELDEEN A R,WAGNER I,CHISHTI Z,et al.Energy-efficient cache design using variable-strength error -correcting codes[C]//Proceedings of the 38th Annual International Symposium on Computer Architecture.New York,2011:461-472.
[10]ZHAO C,DING Y L,CHEN Z J.Fault-tolerance cache research based on mixed ECC[J].Application Research of Computers,2016,33(2):444-446.
[11]YANG K.Low power SRAM research and design under near-threshold voltage supply[D].Shanghai:Shanghai Jiao Tong University,2011.
[12]QI B B.The design of near-threshold adiabatic SRAM[D].Ningbo:Ningbo University,2015.
[13]YU Y Q,WANG T Q,QI C H,et al.The analysis of the stability of 65nm SRAM at near-threshold region[J].Microelectronics &Computer,2017,34(1):26-29.
[14]MAHMOOD T,KIM S,HONG S.Macho:A failure modeloriented adaptive cache architecture to enable near -threshol voltage scaling[C]//IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).2013:532-541.
[15]FERRERÁNA,SUÁREZ-GRACIA D,ALASTRUEY- BENEDÉ J,et al.Concertina:Squeezing in cache content to operate atnear-threshold voltage[J].IEEE Transactions on Computers,2016,65(3):755-769.
[16]ANSARI A,FENG S,GUPTA S,et al.Archipelago:A polymorphic cache design for enabling robust near-threshold operation[C]//2011 IEEE 17th International Symposium on High Performance Computer Architecture.2011:539-550.
[17]GEBREGIORGIS A,TAHOORI M B.Reliability analysis and mitigation of near threshold caches[C]//International Mixed Signals Testing Workshop.2017:1-6.
[18]WILKERSON C,GAO H,ALAMELDEEN A R,et al.Tra ding off cache capacity for reliability to enable low voltage ope ration[J].Computer Architecture News,2008,36(3):203 -214.
[19]WANG J,LIU Y J,ZHANG W G,et al.Exploring variation-aware fault-tolerant cache under near -threshold computing[C]//45th International Conference on Parallel Processing,Philadelphia.2016:149-158.
[20]DUWE H,JIAN X,KUMAR R.Correction prediction:Reducing error correction latency for on-chip memories [C]//IEEE International Symposium on High Performance Computer Architecture.2015:463-475.
[21]KHUBAIB,SULEMAN M A,HASHEMI M,et al.Morph Core:An energy-efficient microarchitecture for high perfor -mance ILP and high throughput TLP[C]//IEEE/ACM International Symposium on Microarchitecture.2012:305-316.
[22]AL-OMARI H,SABRI K E.New graph coloring algorithms[J].Journal of Mathematics & Statistics,2006,2(4):439-441.
[23]BINKERT N,BECKMANN B,BLACK G,et al.The gem5 simulator[J].ACM SIGARCH Computer Architecture News,2011,39(2):1-7.
[24]DOWECK J.Inside the CoreTM Microarchitecture[C]//IEEE Hot Chips 18 Symposium (HCS).2006:1-35.
[25]CALHOUN B H,CHANDRAKASAN A P.A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation[J].IEEE Journal of Solid-State Circuits,2007,42(3):680-688.
[1] ZHANG Zhi-long, SHI Xian-jun, QIN Yu-feng. Diagnosis Strategy Optimization Method Based on Improved Quasi Depth Algorithm [J]. Computer Science, 2022, 49(6A): 729-732.
[2] WANG Xin, ZHOU Ze-bao, YU Yun, CHEN Yu-xu, REN Hao-wen, JIANG Yi-bo, SUN Ling-yun. Reliable Incentive Mechanism for Federated Learning of Electric Metering Data [J]. Computer Science, 2022, 49(3): 31-38.
[3] FANG Ting, GONG Ao-yu, ZHANG Fan, LIN Yan, JIA Lin-qiong, ZHANG Yi-jin. Dynamic Broadcasting Strategy in Cognitive Radio Networks Under Delivery Deadline [J]. Computer Science, 2021, 48(7): 340-346.
[4] HE Quan-qi, YU Fei-hong. Review of Low Power Architecture for Wireless Network Cameras [J]. Computer Science, 2021, 48(6A): 369-373.
[5] QI Hui, SHI Ying, LI Deng-ao, MU Xiao-fang, HOU Ming-xing. Software Reliability Prediction Based on Continuous Deep Confidence Neural Network [J]. Computer Science, 2021, 48(5): 86-90.
[6] CUI Jian-qun, HUANG Dong-sheng, CHANG Ya-nan, WU Shu-qing. Congestion Control Based on Message Quality and Node Reliability in DTN [J]. Computer Science, 2021, 48(4): 268-273.
[7] FENG Kai, MA Xin-yu. Subnetwork Reliability of (n,k)-bubble-sort Networks [J]. Computer Science, 2021, 48(4): 43-48.
[8] FENG Kai, LI Jing. Study on Subnetwork Reliability of k-ary n-cubes [J]. Computer Science, 2020, 47(7): 31-36.
[9] LU Ge-hao, XIE Li-hong and LI Xi-yu. Comparative Research of Blockchain Consensus Algorithm [J]. Computer Science, 2020, 47(6A): 332-339.
[10] WANG Hui-yan, XU Jing-wei, XU Chang. Survey on Runtime Input Validation for Context-aware Adaptive Software [J]. Computer Science, 2020, 47(6): 1-7.
[11] ZHANG Zheng-lin,ZHANG Li-wei,WANG Wen-juan,XIA Li,FU Hao,WANG Hong-zhi,YANG Li-zhuang and
LI Hai. Survey on Computerized Neurocognitive Assessment System [J]. Computer Science, 2020, 47(2): 150-156.
[12] LI Mi, ZHUANG Yi, HU Xin-wen. Embedded Software Reliability Model and Evaluation Method Combining AADL and Z [J]. Computer Science, 2019, 46(8): 217-223.
[13] ZHAI Yong, LIU Jin, CHEN Jie, LIU Lei, XING Xu-chao, DU Jiang. Analysis on Mathematical Models of Maintenance Decision and Efficiency Evaluation of Computer Hardware [J]. Computer Science, 2018, 45(6A): 568-572.
[14] YI Ze-long, WEN Yu-mei, LIN Yan-min, CHEN Wei-ting and LV Guan-yu. Impacts of Correlation Effects among Multi-layer Faults on Software Reliability Growth Processes [J]. Computer Science, 2018, 45(2): 241-248.
[15] WU Wen-hua, SONG Ya-fei, LIU Jing. Dynamic Reliability Evaluation Method of Evidence Based on Intuitionistic Fuzzy Sets and Its Applications [J]. Computer Science, 2018, 45(12): 160-165.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!