Computer Science ›› 2013, Vol. 40 ›› Issue (8): 79-82.

Previous Articles     Next Articles

Research about Efficient and Scalable Hybrid Memories at Fine-granularity Cache Management

JIANG Guo-song   

  • Online:2018-11-16 Published:2018-11-16

Abstract: Hybrid main memories are composed of DRAM which can provide much larger storage capacity than traditional main memories used as a cache to scalable non-volatile memories,such as phase-change memory.However,for hybrid main memories with high performance and scalability,a key challenge is to effectively manage the metadata (e.g.,tags) for data cached in DRAM in a fine- granularity.Based on this observation:storing metadata on off-chip cache line in the same row as their data corresponding to the metadata exploits DRAM row buffer locality,this paper reduced the overhead of fine-grained DRAM cache by using a small buffer to cache chip cache line which has recently been accessed.We also developed an adaptive policy to choose the best granularity when migrating data into DRAM.On a hybrid me-mory with a 512MB DRAM cache,our proposal using an 8KB on-chip buffer can increase the performance within 6% and save 18% better energy efficiency than a conventional 8MB SRAM metadata store,even when the energy overhead due to large SRAM metadata storage is not considered.

Key words: Cache,Tag memory,Non-volatile memories,Hybrid main memories

[1] Lee B C,Ipek E,Mutlu O,et al.Architecting phase changememory as a scalable DRAM alternative[C]∥Proceedings of ISCA ’09.2009:171-182
[2] Qureshi M K,Srinivasan V,Rivers J A.Scalable high perfor-mance main memory system using phase-change memory techno-logy[C]∥Proceedings of ISCA’09.2009:101-112
[3] Dong X,Xie Y,Muralimanohar N,et al.Simple but effectiveheterogeneous main memory with on-chip memory controller support[C]∥Proceedings of SC’10.2010:773-778
[4] Zhao L,Iyer R,Illikkal R,et al.Exploring DRAM cache architectures for CMP server platforms[C]∥Proceedings of ICCD’07.2007:253-259
[5] Loh G,Hill M D.Efficiently enabling conventional block sizes for very large die-stacked DRAM caches[C]∥Proceedings of MICRO’11.2011:123-128
[6] Rixner S,Dally W J,Kapasi U J,et al.Memory access scheduling[C]∥Proceedings of ISCA’00.2000:58-69
[7] Zuravleff W K,Robinson T.Controller for a synchronous DRAM that maximizes throughput by allowing memory requests and commands to be issued out of order[P].U.S.patent 5630096.1997
[8] Eyerman S,Eeckhout L.System-level performance metrics for multiprogram workloads[C]∥Proceedings of MICRO’08.2008:147-158
[9] Qureshi M K,Lynch D N,Mutlu O,et al.A case for MLP-aware cache replacement[C]∥Proceedings of ISCA’06.2006:211-221
[10] Jiang X,Madan N,Zhao L,et al.CHOP:Adaptive filter-basedDRAM caching for CMP server platforms[C]∥Proceedings of HPCA’10.2010:165-175
[11] Liptay J.Structural aspects of the System/360Model 85,II:The cache[J].IBM Syst.J.,1968,7(1):15-21
[12] Seznec A.Decoupled sectored caches:conciliating low tag implementation cost and low miss ratio[C]∥Proceedings of ISCA’94.1994:19-28
[13] Wang H,Sun T,Yang Q.CAT-caching address tags-a technique for reducing area cost of on-chip caches[C]∥Proceedings of ISCA’95.1995:188-196
[14] Inoue K,Kai K,Murakami K.Dynamically variable linesizecache exploiting high on-chip memory bandwidth of merged DRAM/logic LSIs[C]∥Proceedings of HPCA’99.1999:119-128
[15] Johnson T L,Hwu W-M W.Run-TIRDe adaptive cache hierarchy management via reference analysis[C]∥Proceedings of ISCA’97.1997:134-145
[16] Mandelman J A,Dennard R H,Bronner G B,et al.Challengesand future directions for the scaling of dynamic random-access memory (DRAM)[J].IBM J.Res.Dev.,2002,46(2/3):187-212

No related articles found!
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!