Computer Science ›› 2021, Vol. 48 ›› Issue (3): 196-200.doi: 10.11896/jsjkx.191200142

• Artificial Intelligence • Previous Articles     Next Articles

Real-time Low Power Consumption Aircraft Neural Network

ZHANG Ying1,2,3, TAO Lei-yan4, CAO Jian1, WANG Shi-hui2,3, ZHAO Qian2,3, ZHANG Xing1   

  1. 1 School of Software and Microelectronics,Peking University,Beijing 100871,China
    2 Beijing Aerospace Automatic Control Institution,Beijing 100854,China
    3 National Key Laboratory of Science and Technology on Aerospace Intelligent Control,Beijing 100854,China
    4 Beijing Institute of Remote Sensing Equipment,Beijing 100854,China
  • Received:2019-12-23 Revised:2020-04-23 Online:2021-03-15 Published:2021-03-05
  • About author:ZHANG Ying,born in 1982,Ph.D,se-nior engineer.Her main research interest is intelligent control.
    CAO Jian,born in 1980,Ph.D,associate professor,is a member of China Computer Federation.His main research interests include edge computing,intelligent hardware and system design.
  • Supported by:
    National Natural Science Foundation of China(51877008).

Abstract: In order to meet the information processing requirements of a large amount of heterogeneous input data in the real-time flight of aircraft,this paper proposes a neural network,including convolution core with fixed-point sliding,pooling core with compression quantization and fully connected core with compression fusion.The input of the system is heterogeneous sensor data,and the output of the system is the identification results.Convolution core can extract data features quickly by eliminating redundant data sliding window.Pooling core improves system execution efficiency by using compression quantization technology.The design meets the on-line intelligent integrationrequirements of high reliability and low power consumption.With the proposed compression quantization method,the peak accuracy is 98.54%,the compression rate is 77.8%,and the running speed increases by 40 times.

Key words: Aircraft, Low power consumption, Neural network, Real-time online

CLC Number: 

  • TP311
[1]LU L Q,LIANG Y,XIAO Q C,et al.Evaluating Fast Algorithms for Convolutional Neural Networks on FPGAs[C]//2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).2017:101-108.
[2]GIRSHICK R,DONAHUE J,DARREKK T,et al.Rich Feature Hierarchies for Accurate Object Detection and Semantic Segmentation[C]//2014 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).Columbus,2014:580-587.
[3]GIRSHICK R.Fast R-CNN[C]//IEEE International Confe-rence on Computer Vision(ICCV).Santiago,Chile:IEEE,2015:1440-1448.
[4]MC CULLOCH W S,PITTS W H.A Logical Calculus of theIdeas Immanent in Nervous Activity[J].Bulletin of Mathematical Biophysics,1943,5(5):115-133.
[5]MINSKY M,PAPERT S.Perceptrons:An Introduction to Computational Geometry[M].USA,Massachusetts:The MIT Press,1987:5-308.
[6]RUMELHART D E,HINTON G E,WILLIAMS R J.Learning Representations by Back-propagating Errors[J].Nature 1998,323(6088):533-536.
[7]KRIZHEVSKY A,SUTSKEVER I,HINTON G E.ImageNet Classification with Deep Convolutional Neural Networks[C]//International Conference on Neural Information Processing System,Kyoto,Japan.VLSI Secretariat Japan and Asia,2012:1097-1105.
[8]SCHERER D,SCHULZ H,BEHNKE S.Accelerating Large-Scale Convolutional Neural Networks with Parallel Graphics Multrocessors[C]//International Conference on Artificial Neural Networks(ICANN).2010(6354):82-91.
[9]ZHANG C,LI P,SUN G Y,et al.Optimizing FPGA-based Accelerator Design for Deep Convolutional Neural Networks[C]//Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays.New York,USA:ACM,2015:161-170.
[10]LECUN Y,BOTTOU L,BENGIO Y,et al.Gradient-basedLearning Applied to Document Recognition[J].Proceedings of the IEEE,1998,86(11):2278-2324.
[11]SIMONYAN K,ZISSERMAN A.Very Deep Convolutional Networks for Large-scale Image Recognition[C]//Computer Vision and Pattern Recognition(CVPR).Columbus OH USA,IEEE Computer Society,2014(v1):1409-1556.
[12]REN S Q,HE K M,GIRSHICK R,et al.Faster R-CNN:Towards Real-Time Object Detection with Region Proposal Networks[J].IEEE Transactions on Pattern Analysis and Machine Intelligence,2017(39):1137-1149.
[13]KIM H S,HONG S W,SON H R,et al.High Speed RoadBoundary Detection on the Images for Autonomous Vehicle with the Multi-layer[C]//IEEE International Symposium on Circuits and Systems(ISCAS).Bangkok,Thailand,IEEE 2003:769-772.
[14]YIN S,OUYANG P,TANG S,et al.A 1.06-to-5.09 TOPS/W Reconfigurable Hybrid-Neural-Network Processor for Deep Learning Applications[C]//2017 Symposia on VLSI Technology and Circuits (VLSI).Kyoto,Japan.VLSI Secretariat Japan and Asia,2017:26-27.
[15]HAN S,POOL J,TRAN J,et al.2015.Learning both Weights and Connections for Efficient Neural Networks[C]//Neural Information Processing System.Montreal,Quebec,Canada:MIT Press,2015:1506-1526.
[16]HAN S,KANG J,MAO H,et al.ESE:Efficient Speech Recognition Engine with Sparse LSTM on FPGA[C]//Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays.Oulu,Finland:IEEE/ACM,2017:75-84.
[17]GONG L,WANG C,LI X,et al.Work-in-Progress:A Power-Efiicient and High Performance FPGA Accelerator for Convolutional Neural Networks[C]//Proceedings of the 12th IEEE/ACM/IF International Conference on Hardware/Software Codesign and System Synthesis Companion.Oulu,Finland:IEEE/ACM,2017:1-6.
[18]ZHANG C,PRASANNA V.Frequency Domain Acceleration of Convolutional Neural Networks on CPU-FPGA Shared Memory System[C]//Proceedings of the 2017 ACM/SIGDA Internatio-nal Symposium on Field-Programmable Gate Arrays.Oulu,Finland:IEEE/ACM,2017:35-44.
[19]SANKARADAS M,JAKKULA V,CADAMBI S,et al.A Massively Parallel Coprocessor for Convolutional Neural Networks[C]//2009 20th IEEE International Conference on Application-specific Systems,Architectures and Processors(ASAP).Montreal:IEEE,2009:53-60.
[20]FARABET C,POULET C,HAN J Y,et al.CNP:An Fpga-based Processor for Convolutional Networks[C]//Field Programmable Logic and Applications(FPL).Prague:IEEE,2009:32-37.
[21]CADAMBI S,MAJUMDAR A,BECCHI M,et al.A Programmable Parallel Accelerator for Learning and Classification[C]//Proceedings of the 19th International Conference on Parallel Architectures and Compilation Techniques(PACT).Vienna,Austria:IEEE,2010:273-284.
[22]FANG R,LIU J H,XUE Z H,et al.FPGA-based design forconvolution neural network[J].Computer Engineering and Applications,2015,51(8):32-36.
[1] ZHOU Fang-quan, CHENG Wei-qing. Sequence Recommendation Based on Global Enhanced Graph Neural Network [J]. Computer Science, 2022, 49(9): 55-63.
[2] ZHOU Le-yuan, ZHANG Jian-hua, YUAN Tian-tian, CHEN Sheng-yong. Sequence-to-Sequence Chinese Continuous Sign Language Recognition and Translation with Multi- layer Attention Mechanism Fusion [J]. Computer Science, 2022, 49(9): 155-161.
[3] NING Han-yang, MA Miao, YANG Bo, LIU Shi-chang. Research Progress and Analysis on Intelligent Cryptology [J]. Computer Science, 2022, 49(9): 288-296.
[4] HAO Zhi-rong, CHEN Long, HUANG Jia-cheng. Class Discriminative Universal Adversarial Attack for Text Classification [J]. Computer Science, 2022, 49(8): 323-329.
[5] WANG Run-an, ZOU Zhao-nian. Query Performance Prediction Based on Physical Operation-level Models [J]. Computer Science, 2022, 49(8): 49-55.
[6] CHEN Yong-quan, JIANG Ying. Analysis Method of APP User Behavior Based on Convolutional Neural Network [J]. Computer Science, 2022, 49(8): 78-85.
[7] ZHU Cheng-zhang, HUANG Jia-er, XIAO Ya-long, WANG Han, ZOU Bei-ji. Deep Hash Retrieval Algorithm for Medical Images Based on Attention Mechanism [J]. Computer Science, 2022, 49(8): 113-119.
[8] YAN Jia-dan, JIA Cai-yan. Text Classification Method Based on Information Fusion of Dual-graph Neural Network [J]. Computer Science, 2022, 49(8): 230-236.
[9] QI Xiu-xiu, WANG Jia-hao, LI Wen-xiong, ZHOU Fan. Fusion Algorithm for Matrix Completion Prediction Based on Probabilistic Meta-learning [J]. Computer Science, 2022, 49(7): 18-24.
[10] YANG Bing-xin, GUO Yan-rong, HAO Shi-jie, Hong Ri-chang. Application of Graph Neural Network Based on Data Augmentation and Model Ensemble in Depression Recognition [J]. Computer Science, 2022, 49(7): 57-63.
[11] ZHANG Ying-tao, ZHANG Jie, ZHANG Rui, ZHANG Wen-qiang. Photorealistic Style Transfer Guided by Global Information [J]. Computer Science, 2022, 49(7): 100-105.
[12] DAI Zhao-xia, LI Jin-xin, ZHANG Xiang-dong, XU Xu, MEI Lin, ZHANG Liang. Super-resolution Reconstruction of MRI Based on DNGAN [J]. Computer Science, 2022, 49(7): 113-119.
[13] LIU Yue-hong, NIU Shao-hua, SHEN Xian-hao. Virtual Reality Video Intraframe Prediction Coding Based on Convolutional Neural Network [J]. Computer Science, 2022, 49(7): 127-131.
[14] XU Ming-ke, ZHANG Fan. Head Fusion:A Method to Improve Accuracy and Robustness of Speech Emotion Recognition [J]. Computer Science, 2022, 49(7): 132-141.
[15] PENG Shuang, WU Jiang-jiang, CHEN Hao, DU Chun, LI Jun. Satellite Onboard Observation Task Planning Based on Attention Neural Network [J]. Computer Science, 2022, 49(7): 242-247.
Viewed
Full text


Abstract

Cited

  Shared   
  Discussed   
No Suggested Reading articles found!